Функциональные узлы комбинаторной логики. Дешифраторы. Методические указания к выполнению работы

ШИФРАТОРЫ/ДЕШИФРАТОРЫ

Шифраторы.

Шифратор, (называемый так же кодером) - устройство, осуществляющее преобразование десятичных чисел в двоичную систему счисления. Пусть в шифраторе имеется m входов, последовательно пронумерованных десятичными числами (0, 1, 2, 3, ..., m - 1), и n выходов. Подача сигнала на один из входов приводит к появлению на выходах n- разрядного двоичного числа, соответствующего номеру возбужденного входа.

рис 5.17

рис 5.18

Очевидно, трудно строить шифраторы с очень большим числом входов m, поэтому они используются для преобразования в двоичную систему счисления относительно небольших десятичных чисел. Преобразование больших десятичных чисел осуществляется методами, приведенными в справочнике "Системы счисления"

Шифраторы широко используются в разнообразных устройствах ввода информации в цифровые системы. Такие устройства могут снабжаться клавиатурой, каждая клавиша которой связана с определенным входом шифратора. При нажатии выбранной клавиши подается сигнал на определенный вход шифратора, и на его выходе возникает двоичное число, соответствующее выгравированному на клавише символу.

Таблица 5.5

Десятичное
число

Двоичный код 8421

x 8

x 4

x 2

x 1

Таблица 5.6

Входной код 8421

Номер
выхода

x 8

x 4

x 2

x 1

На рис. 5.17 приведено символическое изображение шифратора, преобразующего десятичные числа 0, 1, 2, ..., 9 в двоичное представление в коде 8421. Символ CD образован из букв, входящих в английское слово CODER. Слева показано 10 входов, обозначенных десятичными цифрами 0, 1, ..., 9. Справа показаны выходы шифратора: цифрами 1, 2, 4, 8 обозначены весовые коэффициенты двоичных разрядов, соответствующих отдельным выходам.

Из приведенного в табл. 5.5 соответствия десятичного и двоичного кодов следует, что переменная x 1 на выходной шине 1 имеет уровень лог. 1, если имеет этот уровень одна из входных переменных y 1 , у 3 , у 5 , у 7 , у 9 . Следовательно, x 1 = y l / y 3 / y 5 / y 7 / y 9 .

Для остальных выходов x 2 = y 2 / y 3 / y 6 / y 7 ; x 4 = y 4 / y 5 / y 6 / y 7 ; x 8 = y 8 / y 9 .

Этой системе логических выражений соответствует схема на рис. 5.18,а. На рис. 5.18,б изображена схема шифратора на элементах ИЛИ-НЕ.

Шифратор построен в соответствии со следующими выражениями:

При этом шифратор имеет инверсные выходы.


При выполнении шифратора на элементах И-НЕ следует пользоваться следующей системой логических выражений:

В этом случае предусмотрена подача на входы инверсных значений, т. е. для получения на выходе двоичного представления некоторой десятичной цифры необходимо на соответствующий вход подать лог. 0, а на остальные входы - лог.1. Схема шифратора, выполненная на элементах И-НЕ, приведена на рис. 5.18,в.

Изложенным способом могут быть построены шифраторы, выполняющие преобразование десятичных чисел в двоичное представление с использованием любого двоичного кода,

Дешифраторы.

Для обратного преобразования двоичных чисел в небольшие по значению десятичные числа используются дешифраторы (называемые также декодерами). Входы дешифратора предназначаются для подачи двоичных чисел, выходы последовательно нумеруются десятичными числами. При подаче на входы двоичного числа появляется сигнал на определенном выходе, номер которого соответствует входному числу.

Дешифраторы имеют широкое применение. В частности, они используются в устройствах, печатающих на бумаге выводимые из цифрового устройства числа или текст. В таких устройствах двоичное число, поступая на вход дешифратора, вызывает появление сигнала на определенном его выходе. С помощью этого сигнала производится печать символа, соответствующего входному двоичному числу.

На рис. 5.19,а приведено символическое изображение дешифратора. Символ DС образован из букв английского слова DECODER. Слева показаны входы, на которых отмечены весовые коэффициенты двоичного кода. Справа - выходы, пронумерованные десятичными числами, соответствующими отдельным комбинациям входного двоичного кода. На каждом выходе образуется уровень лог. 1 при строго определенной комбинации входного кода.

Дешифратор может иметь парафазные входы для подачи наряду с входными переменными их инверсий, как показано на рис. 5.19,б.

По способу построения различают линейные и прямоугольные дешифраторы.

Линейный дешифратор.

Рассмотрим построение дешифратора, осуществляющего преобразование, заданное табл. 5.6.


(5.22)

(5.23)

Значения выходных переменных определяются следующими логическими выражениями:

В линейном дешифраторе выходные переменные формируются по (5.22) либо (5.23). При выполнении дешифратора на элементах И-НЕ пользуются (5.23), получая инверсии выходных функций. В этом случае каждой комбинации входного кода будет соответствовать уровень лог. 0 на строго определенном выходе, на остальных выходах устанавливается уровень лог. 1. На рис. 5.20 показана структура дешифратора, построенного на элементах И-НЕ, и его изображение в схемах. Структура имеет особенности, характерные для дешифраторов в интегральном исполнении:

для уменьшения числа входов формирование инверсий входных переменных осуществляется в самом дешифраторе;

рис 5.20

рис 5.21

подключенные непосредственно ко входам дополнительные инверторы уменьшают нагрузку со стороны дешифратора на его входные цепи.

Дешифратор с 16 выходами для дешифрирования всех возможных комбинаций четырехразрядного двоичного кода 8421 можно построить из двух рассмотренных дешифраторов с 10 выходами. На рис. 5.21 показана структура такого дешифратора. В каждом из дешифраторов используется по 8 выходов, которые и образуют требуемые 16 выходов (y 0 , y 1 , ..., y 15).


рис 5.22

Прямоугольный дешифратор.

Рассмотрим принцип построения прямоугольного дешифратора на примере дешифратора с 4 входами и 16 выходами.

Разобьем входные переменные x 8 , x 4 , x 2 , x 1 на две группы по две переменные в каждой: x 8 , x 4 , и x 2 , x 1 . Каждую пару переменных используем в качестве входных переменных отдельного линейного дешифратора на четыре выхода, как показано на рис. 5.22,а. Выходные переменные линейных дешифраторов определяются следующими логическими выражениями:

Эти дешифраторы выполняют функции первой ступени дешифратора.

Выходные переменные y 0 , y 1 , ..., y 15 прямоугольного дешифратора можно представить логическими выражениями, используя в них в качестве аргументов выходные переменные y" 0 , ..., y" 3 и y"" 0 , ..., y"" 3 линейных дешифраторов:

Эти логические операции выполняются в отдельном дешифраторе второй ступени, называемом матричным и состоящим из двух - входовых элементов. На рис. 5.22,б показано условное изображение матричного дешифратора, где помеченные десятичными числами две группы входов служат для подключения к выходам двух предварительных ступеней дешифрации. На рис. 5.22,в представлена структура прямоугольного дешифратора с использованием символов линейного и матричного дешифраторов.

Могут быть построены прямоугольные дешифраторы с числом ступеней, большим двух.

Применение прямоугольного дешифратора может оказаться более выгодным, чем использование линейного дешифратора, в тех случаях, когда велико число входов и нежелательно использование требующихся для построения линейного дешифратора элементов с большим числом входов. Однако прохождение сигналов последовательно через несколько ступеней в прямоугольном дешифраторе приводит к большей задержке распространения сигнала в нем.

Таблица 5.7

Код 8421

Код 2421

x 4

x 3

x 2

x 1

y 4

y 3

y 2

y 1

Преобразователи кодов

В цифровых устройствах часто возникает необходимость преобразования числовой информации из одной двоичной системы в другую (из одного двоичного кода в другой). Примером такого преобразования может служить преобразование чисел из двоичного кода 8421, в котором выполняются арифметические операции, в двоичный код 2 из 5 для передачи по линии связи. Эта задача выполняется устройствами, называемыми преобразователями кодов. Для преобразования кодов можно пользоваться двумя методами:

основанным на преобразовании исходного двоичного кода в десятичный и последующем преобразовании десятичного представления в требуемый двоичный код;

основанным на использовании логического устройства комбинационного типа, непосредственно реализующего данное преобразование.

Первый метод структурно реализуется соединением дешифратора и шифратора и удобен в случаях, когда можно использовать стандартные дешифраторы и шифраторы в интегральном исполнении.

Рассмотрим подробнее второй метод на конкретных примерах преобразования двоичных кодов.

Преобразование кода 8421 в код 2421.

Обозначим переменные, соответствующие отдельным, разрядам кода 8421, x 4 , x 3 , x 2 , x 1 , то же для кода 2421 y 4 , y 3 , y 2 , y 1 . В табл. 5.7 приведено соответствие комбинаций обоих кодов.

Каждая из переменных y 4 , y 3 , y 2 , y 1 может рассматриваться функцией аргументов x 4 , x 3 , x 2 , x 1 и, следовательно, может быть представлена через эти аргументы соответствующим логическим выражением. Для получения указанных логических выражений представим переменные y 4 , y 3 , y 2 , y 1 таблицами истинности в форме таблицы Вейча (рис 5.24.1).


рис 5.23

рис 5.24


рис 5.24.1

Получим минимальную форму логических выражений, представленных через операции И, ИЛИ, НЕ и через операцию И-НЕ:


На рис. 5.23 приведена логическая структура преобразователя кодов, построенная на элементах И-НЕ с использованием полученных логических выражений.

Преобразование кода 2421 в код 8421.

Для реализации данного преобразования (обратного по отношению к рассмотренному выше) требуется получить логические выражения для переменных x 4 , x 3 , x 2 , x 1 , используя в качестве аргументов переменные y 4 , y 3 , y 2 , y 1.


рис 5.24.2

Таблицы Вейча для переменных x 4 , x 3 , x 2 , x 1 представлены на рис. 5.24.2. Логические выражения для переменных x 4 , x 3 , x 2 , x 1:

Логическая структура преобразователя приведена на рис. 5.24.

Преобразователь для цифровой индикации.

Один из способов цифровой индикации состоит в следующем.

Таблица 5.10

Десятичная
цифра

Двоичный код 8421

Состояние элементов (z 1 , ..., z 7) и
значение управляющих
сигналов (y 1 , ..., y 7)

x 4

x 3

x 2

x 1

1

2

3

4

5

6

7

y 1

y 2

y 3

y 4

y 5

y 6

y 7

Имеется семь элементов, расположенных так, как показано на рис. 5.25,а. Каждый элемент может светиться либо не светиться, в зависимости от значения соответствующей логической переменной, управляющей его свечением. Вызывая свечение элементов в определенных комбинациях, можно получить изображение десятичных цифр 0, 1, 9 (рис. 5.25.б).

Десятичные цифры, отображение которых необходимо вызвать, задаются обычно в двоичном коде. При этом возникает задача формирования логических переменных y 1 , y 2 , ..., y 7 для управления отдельными элементами в устройстве индикации. Таблица истинности для этих переменных представлена в табл.5.10.

рис 5.25

При построении таблицы были приняты следующие условия: если элемент индикатора светится, то это означает, что он находится в состоянии лог. 1, если погашен, то он находится в состоянии лог. 0; управление элементом осуществляется таким образом, что высокий уровень лог. 1 на некотором входе индикатора вызывает гашение соответствующего элемента (т. е. чтобы i-й элемент был погашен и z i = 0, необходимо подать на 1-й вход индикатора управляющий сигнал y i = l). Таким образом, y i = i . Например, для высвечивания цифры 0 необходимо погасить 7-й элемент (z 7 =0), оставив остальные элементы в состоянии свечения; следовательно, при этом управляющий сигнал y 7 = l, остальные управляющие сигналы y l , ..., y 6 должны иметь уровень лог. 0.

рис 5.26

Формирование управляющих сигналов производится логическим устройством, для синтеза которого на рис. 5.26 построены таблицы истинности в форме таблиц Вейча отдельно для каждой переменной y l , ..., y 7 . Синтезируемое устройство является устройством с несколькими выходами и для получения минимальной схемы необходимо в таблицах Вейча построить минимальное число областей, обеспечивающих покрытие клеток, содержащих 1, во всех семи таблицах. Построение этих областей имеет следующие особенности. В таблицах переменных у 5 и y 6 использованы области 1 и V, которые используются в таблицах других переменных. Если вместо этих областей в таблицах переменных у 5 и y 6 построить области с большим охватом клеток, это вызовет увеличение общего количества областей и, следовательно, увеличится количество логических элементов, требуемых для формирования соответствующих им логических выражений. Выделенным областям соответствуют следующие логические выражения:


Теперь нетрудно записать логические выражения для выходных величин y l , ..., y 7:


Построенная в соответствии с этими выражениями схема преобразователя приведена на рис. 5.25,в.

Таблица 5.12

Тип логического элемента

Число элементов в корпусе микросхемы

Число элементов в преобразователе

Число корпусов микросхем

Инверторы

Двухвходовые элементы И-НЕ

Трехвходовые элементы И-НЕ

Четырехвходовые элементы И-НЕ

Общее количество корпусов микросхем

5 5 / 12

Определим количество микросхем, необходимых для построения преобразователя. При этом следует учитывать, что в корпусе выпускаемых промышленностью микросхем может содержаться несколько логических элементов. В табл. 12 приведен расчет количества корпусов микросхем.

Дешифратор (декодер) – это комбинационное устройство с несколькими входами и выходами, у которого определенным комбинациям входных сигналов соответствует активное состояние одного из выходов. Дешифраторы преобразуют двоичный или двоично-десятичный код в унитарный код. Если декодер имеет n входов, m выходов и использует все возможные наборы входных переменных, то m = 2 n . Такой декодер называют полным. Если используется лишь часть наборов, то такой декодер называют неполным. Дешифраторы используют, когда нужно обращаться к различным цифровым устройствам, и при этом номер устройства (его адрес) представлен двоичным кодом. Входы декодера (адресные входы) часто номеруют не порядковыми номерами, а в соответствии с весами двоичных разрядов, т. е. не 1, 2, 3, 4, а 1, 2, 4, 8.

Формально описать работу дешифратора можно, задав список функций, отрабатываемых каждым из его выходов Y i . Так, для дешифратора 3–8:

Y o = ;Y 1 =
;Y 2 =
; Y 3 =
; ... Y 7 =a 4 a 2 a 1 .

Число входов и выходов декодера указывают следующим образом: декодер 3–8 (читается “три в восемь”); 4–16; 4–10 (это неполный дешифратор). Реализация указанных восьми выражений с помощью восьми трехвходовых элементов И (рис. 10.7) дает наиболее простой по структуре дешифратор, называемый линейным.

а б

Рис. 10.7. Дешифратор 3-8: а – условное обозначение; б – структура

Основной объем его оборудования в общем случае m n -входовых элементов И. Кроме того, к оборудованию обычно относят n инверторов входных переменных и n буферных входных усилителей, сводящих к единице кратность нагрузки источника сигнала.

Дешифраторы часто имеют разрешающий вход EI . При EI = 1 дешифратор работает как обычно, а при EI = 0 на всех выходах устанавливаются не активные уровни.

Вход EI воздействует на все элементы И. В схеме (рис. 10.8) воздействие оказывается через прямой и инверсный входы одного из разрядов входного кода (через дополнительные элементы И). При этом число входов элементов И не изменяется, но в работу дешифратора вносится дополнительная задержка. В схеме (рис. 10.9) задержка не вносится, но здесь элементы И имеют большее число входов.

Разрешающий вход EI часто выполняется инверсным. Дешифратор, имеющий разрешающий вход, иногда называют декодер–демультиплексор и вместо обозначения DC используют обозначение DX . Это связано с тем, что вход EI иногда используют в качестве информационного (как в демультиплексорах).

Рис. 10.8. Разрешение через прямой и Рис. 10.9. Разрешение через

инверсный входы одного из разрядов дополнительные входы элементов И

Вход EI используется при построении древовидных (каскадных) схем дешифраторов с целью расширения адресного пространства. При этом все адресное пространство разбивается на группы. Старшие разряды адреса подаются на дешифратор старших разрядов, выходы которого по входам EI управляют дешифраторами второго каскада. На рис. 10.10 представлена схема двухкаскадного дешифратора 5–32 (пять в тридцать два).

Рис. 10.10. Двухкаскадный дешифратор 5–32

Два старших разряда адреса а 16 и а 8 расшифровываются дешифратором 2–4 DC 4, который по входам Е I управляет четырьмя дешифраторами второго каскада. Младшие разряды адреса а 4 , а 2 , а 1 поступают на все дешифраторы второго каскада, но открытым по входу EI оказывается лишь один из них. Ему и будет принадлежать единственный из всех 32 возбужденный выход. Например, входной код 01111 у дешифратора DC 4 делает активным выход 1. Этим сигналом и откроется дешифратор второй ступени DC 1, а DC 0, DC 2, DC 3 закрыты. У дешифратора DC 1 сигнал появится на выводе 7, что соответствует 15 выходу всего дешифратора. Такой принцип используется при построении дешифратора на много выходов из микросхем дешифраторов с меньшим числом выходов.

В рассмотренном случае 5-разрядный адрес был разбит на две группы в 2 и 3 разряда. Это и определило структуру дешифратора. В общем случае многоразрядный адрес можно разбить на группы различными способами и каждому будет соответствовать свой вариант схемы. Варианты будут различаться задержкой и аппаратными затратами. Таким образом, можно ставить задачу выбора оптимальной, в заданной серии элементов, структуры.

На рис. 10.11 показан двухкаскадный дешифратор 4–16, второй каскад которого собран по схеме прямоугольного дешифратора. Разряды адреса разбиты на две группы, каждая из которых независимо от другой расшифровывается своим дешифратором первого каскада DC 0 и DC 1. При любой комбинации значений входных переменных оказываются выбранными одна строка и один столбец сетки, в узлах которой расположены элементы И второй ступени (второго каскада). В результате каждый входной набор возбуждает выход единственного соответствующего ему элемента И. Такую сетку из элементов И называют прямоугольным или матричным дешифратором.

Рис. 10.11. Матричный дешифратор

Делить разряды адреса между DC 1 и DC 2 нужно по возможности поровну. Чем ближе прямоугольник второго каскада к квадрату, тем, при том же числе выходных элементов И, меньше сумма его строк и столбцов, т. е. меньше число выходов дешифраторов первого каскада. Из этого следует, что использование во втором каскаде квадратной матрицы, позволяет применить в первом каскаде наиболее простые дешифраторы и тем самым минимизировать общую задержку в работе всего дешифратора.

В качестве входа EI (Е ) всего двухкаскадного дешифратора удобно использовать разрешающий вход только одного из дешифраторов первого каскада. При этом запираются или все строки или все столбцы.

Следует отметить, что при большом числе выходов (сотни и более) прямоугольный дешифратор самый экономичный по оборудованию, чем и объясняется его применение в БИС памяти. При малом числе выходов наиболее экономичным является линейный дешифратор.

Дешифраторы, выпускаемые в виде микросхем, имеют буквенное обозначение ИД, например, 155ИД3, 155ИД4. В сериях ТТЛ дешифраторы имеют обычно инверсные выходы, т. е. активным является низкий уровень. В КМОП-сериях выходные сигналы чаще имеют активный высокий уровень.

Часто в микросхемах дешифраторов делают несколько разрешающих входов, а разрешающей комбинацией является их конъюнкция. При этом удобно наращивать дешифраторы, используя каскадный принцип и строя первый каскад дешифрации не на отдельном специальном дешифраторе, а собирая его из конъюнкторов разрешающих входов. На рис. 10.12 представлен дешифратор 5–32 из 4 дешифраторов 3–8. Каждая микросхема имеет два инверсных разрешающих входа. Символ & над символом Е I обозначает, что разрешение существует лишь при совпадении всех сигналов группы входов, помеченных знаком &. На рисунке символы инверсии указывают на совпадение двух низких уровней на входах разрешения.

Дешифратор первого каскада распределен по конъюнкторам 4 микросхем. Такое решение – иметь несколько разрешающих входов, связанных операцией И, чтобы собирать на этих входах фрагменты дешифраторов, вообще типично для современных микросхем .

Рис. 10.12. Дешифрация адресов с использованием в первом каскаде разрешающих входов

Если использовать только два дешифратора DC 0 и DC 1, то можно получить дешифратор на 16 выходов. При этом адресный вход а 16 будет отсутствовать, а нижние (по схеме) разрешающие входы дешифраторов DC 0 и DC 1 должны быть заземлены.

Схема дешифратора 155ИД4 представлена на рис. 10.13. В нее входят два дешифратора 2–4. Каждый дешифратор имеет пару разрешающих входов. Один разрешающий вход одной из секций инвертирован. Это позволяет, объединив его с неинвертированным разрешающим входом другой секции и подав на эту пару третью переменную а 4 , использовать ту же самую схему как дешифратор 3–8 с разрешающим входом Е . Кроме того, эта микросхема может быть использована как два демультиплексора с 1 входа на 4 выхода и как демультиплексор с одной линии на 8 выходов.

Рис. 10.13. Схема дешифратора 155ИД4.

Рис. 10.14. Варианты подключения дешифратора 155ИД1

На рис. 10.14 показана возможность использования микросхемы 155ИД1 в качеств дешифратора 4–10 или 3–8. В представленной схеме при использовании всех четырех входов в качестве адресных микросхема представляет дешифратор 4–10. Если вход 8 использовать в качестве разрешающего входа, тогда микросхема будет служить дешифратором 3–8. Выходы 8 и 9 при этом не используются.

Дешифраторы могут применяться в качестве демультиплексора входных сигналов, а совместно с шифратором находят применение при построении преобразователей кодов, селектировании заданных входных кодов и др. . Для реализации подобных устройств могут быть использованы программируемые логические матрицы или программируемые логические интегральные схемы (ПЛМ или ПЛИС) .

Мы рассмотрели одноступенчатый дешифратор (линейный) – он является наиболее быстродействующим, но его реализация при значительной разрядности входного слова затруднена, поскольку требует применения логических элементов с большим числом входов, что сопровождается большой нагрузкой на источники входных сигналов. Обычно одноступенчатыми выполняются дешифраторы на небольшое число входов, определяемое возможностями элементов применяемой серии микросхем. Поэтому зачастую количества выводов дешифратора не хватает для выбора необходимого количества устройств микропроцессорной техники. Используя два дешифратора с разрешающим входом Е, можно реализовать дешифратор с количеством выходов N = 2 n+1 (рис. 2.11.3).

Рис. 2.11.3. Дешифратор 3х8 на основе двух дешифраторов 2х4

На рис. 2.11.3 приведена схема комбинированного дешифратора 3х8, реализованная на двух полных дешифраторах 2х4. Таким образом можно из 2-х дешифраторов 3х8 создать дешифратор 4х16 и т.д. Разрешающий вход Е используется, как адресный разряд. При Е = 0 работает верхний дешифратор, при Е = 1 работает нижний дешифратор, при этом все выходы верхнего дешифратора равны 0.

Широко используется еще каскадный (пирамидальный) способ построения дешифраторов с большим числом выходов на микросхемах дешифраторов с меньшим числом выходов (рис.2.11.4) .

Для разрешения работы одного из дешифраторов 3х8 (DC2, DC3, DC4, DC5) на вход Е каждого дешифратора подается разрешающий или запрещающий сигнал от дешифратора DC1 (первый каскад), который управляется адресными разрядами А3, А4.


Рис. 2.11.4. Схема каскадного (пирамидального) включения дешифраторов

Адресные разряды А0, А1, А2 параллельно подаются на дешифраторы 2-го каскада. Общее число адресных разрядов увеличилось на 2 разряда.

Шифраторы. Шифраторы это устройства, предназначенные для преобразования унитарного кода в двоичный. На выходе шифратора появляется многоразрядный двоичный код, соответствующий десятичному номеру входа, на который подан активный логический уровень. Двоичные шифраторы выполняют операцию, обратную операции дешифраторов.

Шифратор иногда называют «кодером» (от англ. coder) и используют, например, для перевода десятичных чисел, набранных на клавиатуре кнопочного пульта управления, в двоичные числа. Если количество входов настолько ве­лико, что в шифраторе используются все возможные ком­бинации сигналов на выходе, то такой шифратор называ­ется полным. Число входов и выходов в полном шифраторе связано соотношением N = 2 n , где N - число входов, n - число выходов. Так, для пре­образования кода кнопочного пульта в четырехразрядное двоичное число достаточно использовать лишь 10 входов, в то время как полное число возможных входов будет рав­но 16 (n = 2 4 = 16), поэтому шифратор 10x4 будет неполным.

Рассмотрим пример построения шифратора для преоб­разования десятиразрядного единичного кода (десятичных чисел от 0 до 9) в двоичный код. При этом предполагает­ся, что сигнал, соответствующий логической единице, в каждый момент времени подается только на один вход.

Таблица истинности для шифратора приведена в таблице 2.11.3.

Используя данную таблицу, запишем логические выражения для выходных переменных, включая в логическую сумму те входные переменные, ко­торые соответствуют единице соответствующей выходной пере­менной.

Таблица истинности для дешифратора

Таблица 2.11.3.

Входы Выходы
X0 X1 X2 X3 X4 X5 X6 X7 X8 X9 A3 A2 A1 A0

Запишем логические уравнения для выходных переменных А0, А1, А2, А3:

А0 = X1 v X3 v X5 v X7 v X9

A1 = X2 v X3 v X6 v X7

A2 = X4 v X5 v X6 v X7

Для такого шифратора легко построить схему на логических элементах «ИЛИ» (рис. 2.11.5).

Рис. 2.11.5. Схема неполного шифратора 10х4

Методические указания к выполнению работы:

Запишите в отчете, как обычно, название работы, цель работы. Приведите определение дешифратора. Составьте таблицу истинности для дешифратора, имеющего 3 адресных входа. Запишите уравнения для каждого из 8-ми выходов дешифратора. Постройте схему. Соберите схему, реализующую функции дешифратора в Multisim. Исследуйте её работу.

Исследуйте работу микросхемы дешифратора 2х4. Соберите схему дешифратора, приведенную на рис. 2.11.4 используя только дешифраторы 2х4.
Получите временные диаграммы работы схемы. Чтобы показать все входные и выходные сигналы дешифратора используйте 2 анализатора.

Зарисуйте схему и поясните в отчете принцип ее работы. Приведите временные диаграммы. Временные диаграммы надо привести на одной странице, нельзя продолжать связанные по времени графики на другой странице. Все связи между сигналами должны быть наглядными.

Составьте таблицу истинности для полного шифратора 8х3. Запишите логические функции выходных переменных. Постройте и исследуйте схему шифратора. В отчете приведите таблицу истинности, уравнения, построенную по уравнениям схему, временные диаграммы.

Запишите соответствующие каждому пункту выполненной работы выводы.

Вопросы для подготовки к отчету:

1. Дайте определение дешифратора.

2. Дайте определение шифратора.

3. Что понимают под унитарным кодом?

4. Чем отличается полный дешифратор от неполного?

5. Чем отличается полный шифратор от неполного?

6. В чем отличие линейного дешифратора от пирамидального?

7. Больше быстродействие у линейного дешифратора или пирамидального?

8. Больше аппаратных затрат требуется для реализации линейного дешифратора или пирамидального?

9. Для чего применяют в вычислительной технике дешифраторы и шифраторы?

12. Лабораторная работа №12

Исследование мультиплексоров и демультиплексоров

Цель работы: Изучить принципы синтеза и работы мультиплексоров и демультиплексоров.

Задание: Произвести синтез схемы мультиплексора, исследовать работу схемы. Исследовать микросхему мультиплексора, построить и исследовать работу пирамидальной схемы. Произвести синтез схемы демультиплексора, исследовать работу схемы. Исследовать совместную работу мультиплексора и демультиплексора.

Теоретическое введение

Мультиплексором называется комбинационное логическое устройство, предназначенное для управляемой передачи данных от нескольких источников информации в один выходной канал. Входы мультиплексора подразделяются на информационные Д 0 , Д 1 , …… и управляющие (адресные) А 0 , А 1 , …, А n-1 .

Код, подаваемый на адресные входы, определяет, какой из информационных входов в данный момент передается на выход схемы. Поскольку n -разрядный двоичный код может принимать 2 n значений, то, если число адресных входов мультиплексора равно n , число его информационных входов должно равняться 2 n .

Построим таблицу истинности, отображающую работу мультиплексора с двумя адресными входами на основе определения. Обозначим в таблице A0 и A1 – адресные входы. D0, D1, D2, D3 – входы 4-х потоков данных, при установке адреса соответствующие данные будут передаваться на единственный выход мультиплексора Y (таблица 2.12. 1) .

Таблица имеет следующий вид:

Таблица 2.12. 1

Адрес Данные Выход
А1 А0 D0 D1 D2 D3 Y
D0 D1 D2 D3 D0
D0 D1 D2 D3 D1
D0 D1 D2 D3 D2
D0 D1 D2 D3 D3

Запишем уравнение для функции Y:

Y = A1*A0*D0 v A1*A0 D1 v A1 A0*D2 v A1 A0 D3.

Схема, реализующая функцию Y, может быть построена на 2-х инверторах, 4-х трехвходовых элементах «И» и четырехвходовом элементе «ИЛИ» (рис. 12.2.1).

Рис. 12.2.1. Схема мультиплексора 4-1

Можно для реализации этой же схемы собрать дешифратор, и с его помощью осуществлять переключение входов на выход Y (рис. 2.12.2).

Рис. 2.12.2. Схема мультиплексора и его условное обозначение

В тех случаях, когда функциональные возможности ИС мультиплексоров не удовлетворяют разработчиков по числу информационных входов, прибегают к их каскадированию с целью наращивания числа входов до требуемого значения. Наиболее универсальный способ наращивания размерности мультиплексора состоит в построении пирамидальной структуры, состоящей из нескольких мультиплексоров. При этом первый ярус схемы представляет собой столбец, содержащий столько мультиплексоров, сколько необходимо для получения нужного числа информационных входов. Все мультиплексоры этого столбца коммутируются одним и тем же адресным кодом, составленным из соответствующего числа младших разрядов общего адресного кода. Старшие разряды адресного кода используются во втором ярусе, мультиплексор которого обеспечивает поочередную работу мультиплексоров первого яруса на общий выход. Каскадная схема мультиплексора «16-1», построенная на мультиплексорах «4-1», показана на рис. 2.12.3.

Рис. 2.12.3. Каскадная схема мультиплексора 16-1

Типовое применение мультиплексора - это передача информации от нескольких разнесенных в пространстве источников (датчиков) информации на вход одного приемника.

Предположим, что измеряется температура окружающей среды в нескольких помещениях и результаты этих измерений должны быть введены в одно регистрирующее устройство, например ЭВМ. При этом, так как температура изменяется медленно, для получения достаточной точности совсем не обязательно измерять ее постоянно. Достаточно иметь информацию через некоторые фиксированные промежутки времени.

Функцию подключения различных источников информации к одному приемнику по заданной команде и выполняет мультиплексор.

Мультиплексор можно использовать в качестве универсального логического элемента для реализации любой логической функции от числа аргументов, равного числу адресных входов мультиплексора. Покажем это на примере логической функции, заданной таблицей истинности (табл. 2.12.2).

Таблица2.12.2

A2 A1 A0 Y A2 A1 A0 Y

Схема, реализующая данную функцию показана на рис. 2.12.4.

Рис. 2.12.4. Реализация комбинационной схемы при помощи мультиплексора

Демультиплексор – это комбинационная схема, имеющая один информационный вход (D), n управляющих (адресных) входов (А0, А1, …, Аn-1) и N = 2 n выходов (Y0, Y1, …, YN-1). Двоичный код, поступающий на адресные входы, определяет один из N выходов, на который передается значение переменной с информационного входа D. Демультиплексор реализует функцию, обратную функции мультиплексора. Он предназначен для разделения потока данных одного источника информации в несколько выходных каналов.

Таблица функционирования демультиплексора (табл.2.12.2), имеющего 4 информационных выхода (Y0, Y1, Y2, Y3) и n = 2 адресных входа (А0, А1), представлена ниже.

Таблица 2.12.2

Инф.вход Адрес Информационные выходы
D A1 A0 Y0 Y1 Y2 Y3
D D
D D
D D
D D

Уравнения, описывающие работу демультиплексора:

Y0 = D A1* A0*; Y1 = D A1*A0; Y2 = A1 A0*; Y3 = A1 A0.

Схема демультиплексора, построенная по данным уравнениям и его графическое изображение представлены на рис. 2.12.5.

Рис. 2.12.5. Схема демультиплексора "1-4" и его условное изображение

Функция демультиплексора легко реализуется с помощью дешифратора, если его вход “Разрешение” – Е использовать в качестве информационного входа демультиплексора, а входы 1, 2, 4 … - в качестве адресных входов демультиплексора А0, А1, А2, … Действительно, при активном значении сигнала на входе Е избирается выход, соответствующий коду, поданному на адресные входы. Поэтому интегральные схемы дешифраторов, имеющих разрешающий вход, иногда называют не просто дешифраторами, а дешифраторами-демультиплексорами.

Термином “мультиплексирование” называют процесс передачи данных от нескольких источников по общему каналу. В качестве устройства, осуществляющего на передающей стороне операцию сведения данных в один канал применяют мультиплексор. Подобное устройство способно осуществлять временное разделение сигналов, поступающих от нескольких источников, и передавать их в канал (линию) связи друг за другом в соответствии со сменой кодов на своих адресных входах.

На приемной стороне обычно требуется выполнить обратную операцию – демультиплексирование, т.е. распределение порций данных, поступивших по каналу связи в последовательные моменты времени, по своим приемникам. Эту операцию выполняет демультиплексор. Совместное использование мультиплексора и демультиплексора для передачи данных от 4-х источников к

4-м приемникам по общей линии иллюстрирует рис. 2.12.6.

Рис. 2.12.6. Совместное использование мультиплексора и демультиплексора для передачи данных


Похожая информация.


Логические устройства разделяют на два класса: комбинационные и последовательностные.

Устройство называют комбинационным , если его выходные сигналы в некоторый момент времени однозначно определяются входными сигналами, имеющими место в этот момент времени.

Иначе устройство называют последовательностным или конечным автоматом (цифровым автоматом, автоматом с памятью). В последовательностных устройствах обязательно имеются элементы памяти. Состояние этих элементов зависит от предыстории поступления входных сигналов. Выходные сигналы последовательностных устройств определяются не только сигналами, имеющимися на входах в данный момент времени, но и состоянием элементов памяти. Таким образом, реакция последовательностного устройства на определенные входные сигналы зависит от предыстории его работы.

Среди как комбинационных, так и последовательностных устройств выделяются типовые, наиболее широко используемые на практике.

Шифраторы

Шифратор - это комбинационное устройство, преобразующее десятичные числа в двоичную систему счисления, причем каждому входу может быть поставлено в соответствие десятичное число, а набор выходных логических сигналов соответствует определенному двоичному коду. Шифратор иногда называют «кодером» (от англ. coder) и используют, например, для перевода десятичных чисел, набранных на клавиатуре кнопочного пульта управления, в двоичные числа.

Если количество входов настолько велико, что в шифраторе используются все возможные комбинации сигналов на выходе, то такой шифратор называется полным, если не все, то неполным. Число входов и выходов в полном шифраторе связано соотношением n= 2 m , где n- число входов, m- число выходов.

Так, для преобразования кода кнопочного пульта в четырехразрядное двоичное число достаточно использовать лишь 10 входов, в то время как полное число возможных входов будет равно 16 (n = 2 4 = 16), поэтому шифратор 10×4 (из 10 в 4) будет неполным.

Рассмотрим пример построения шифратора для преобразования десятиразрядного единичного кода (десятичных чисел от 0 до 9) в двоичный код. При этом предполагается, что сигнал, соответствующий логической единице, в каждый момент времени подается только на один вход. Условное обозначение такого шифратора и таблица соответствия кода приведены на рис. 3.35.

Используя данную таблицу соответствия, запишем логические выражения, включая в логическую сумму те входные переменные, которые соответствуют единице некоторой выходной пере­менной. Так, на выходе у 1 будет логическая «1» тогда, когда логическая «1» будет или на входе Х 1 ,или Х 3 , или Х 5 , или Х 7 , или X 9 , т. е. у 1 = Х 1 + Х 3 + Х 5 + Х 7 +X 9

Аналогично получаем у 2 = Х 2 + Х 3 + Х 6 + X 7 у 3 = Х 4 + Х 5 + Х 6 + Х 7 у 4 = Х 8 + X 9

Представим на рис. 3.36 схему такого шифратора, используя элементы ИЛИ.
На практике часто используют шифратор с приоритетом. В таких шифраторах код двоичного числа соответствует наивысшему номеру входа, на который подан сигнал «1», т. е. на приоритетный шифратор допускается подавать сигналы на несколько входов, а он выставляет на выходе код числа, соответствующего старшему входу.

Рассмотрим в качестве примера (рис. 3.37) шифратор с приоритетом (приоритетный шифратор) К555ИВЗ серии микросхем К555 (ТТЛШ).

Шифратор имеет 9 инверсных входов, обозначенных через PR l , …, PR 9 . Аббревиатура PR обозначает «приоритет». Шифратор имеет четыре инверсных выхода B l , …, B 8 . Аббревиатура B означает «шина» (от англ. bus). Цифры определяют значение активного уровня (нуля) в соответствующем разряде двоичного числа. Например, B 8 обозначает, что ноль на этом выходе соответствует числу 8. Очевидно, что это неполный шифратор.

Если на всех входах - логическая единица, то на всех выходах также логическая единица, что соответствует числу 0 в так называемом инверсном коде (1111). Если хотя бы на одном входе имеется логический ноль, то состояние выходных сигналов определяется наибольшим номером входа, на котором имеется логический ноль, и не зависит от сигналов на входах, имеющих меньший номер.

Например, если на входе PR 1 - логический ноль, а на всех остальных входах - логическая единица, то на выходах имеются следующие сигналы: В 1 − 0, В 2 − 1, В 4 − 1, В 8 − 1, что соответствует числу 1 в инверсном коде (1110).

Если на входе PR 9 логический ноль, то независимо от других входных сигналов на выходах имеются следующие сигналы: В 1 − 0 , В 2 − 1 , В 4 − 1, В 8 − 0, что соответствует числу 9 в инверсном коде (0110).

Основное назначение шифратора - преобразование номера источника сигнала в код (например, номера нажатой кнопки некоторой клавиатуры).


Дешифраторы

Называется комбинационное устройство , преобразующее n-разрядный двоичный код в логический сигнал, появляющийся на том выходе, десятичный номер которого соответствует двоичному коду. Число входов и выходов в так называемом полном дешифраторе связано соотношением m= 2 n , где n- число входов, а m- число выходов. Если в работе дешифратора используется неполное число выходов, то такой дешифратор называется неполным. Так, например, дешифратор, имеющий 4 входа и 16 выходов, будет полным, а если бы выходов было только 10, то он являлся бы неполным.

Обратимся для примера к дешифратору К555ИД6 серии К555 (рис. 3.38).


Дешифратор имеет 4 прямых входа, обозначенных через А 1 , …, А 8 . Аббревиатура A обозначает «адрес» (от англ.address). Указанные входы называют адресными. Цифры определяют значения активного уровня (единицы) в соответствующем разряде двоичного числа. Дешифратор имеет 10 инверсных выходов Y 0 , …, Y 9 . Цифры определяют десятичное число, соответствующее заданному двоичному числу на входах. Очевидно, что этот дешифратор неполный.

Значение активного уровня (нуля) имеет тот выход, номер которого равен десятичному числу, определяемому двоичным числом на входе. Например, если на всех входах - логические нули, то на выходе Y 0 - логический ноль, а на остальных выходах - логическая единица. Если на входе А 2 - логическая единица, а на остальных входах - логический ноль, то на выходе Y 2 - логический ноль, а на остальных выходах - логическая единица. Если на входе - двоичное число, превышающее 9 (например, на всех входах единицы, что соответствует двоичному числу 1111 и десятичному числу 15), то на всех выходах - логическая единица.

Дешифратор - одно из широко используемых логических устройств. Его применяют для построения различных комбинационных устройств.

Рассмотренные шифраторы и дешифраторы являются примерами простейших преобразователей кодов.

Преобразователи кодов

В общем случае, называют устройства, предназначенные для преобразования одного кода в другой, при этом часто они выполняют нестандартные преобразования кодов. Преобразователи кодов обозначают через X/Y.

Рассмотрим особенности реализации преобразователя на примере преобразователя трехэлементного кода в пятиэлементный. Допустим, что необходимо реализовать таблицу соответствия кодов, приведенную на рис. 3.39.



Здесь через N обозначено десятичное число, соответствующее входному двоичному коду. Преобразователи кодов часто создают по схеме дешифратор - шифратор. Дешифратор преобразует входной код в некоторое десятичное число, а затем шифратор формирует выходной код. Схема преобразователя, созданного по такому принципу, приведена на рис. 3.40, где использован матричный диодный шифратор. Принцип работы такого преобразователя довольно прост. Например, когда на всех входах дешифратора логический «О», то на его выходе 0 появляется логическая «1», что приводит к появлению «1» на выходах у 4 и у 5 , т. е. реализуется первая строка таблицы соответствия кодов.


Промышленность выпускает большое число шифраторов, дешифраторов и преобразователей кодов, таких как дешифратор 4×16 со стробированием (К555ИДЗ), преобразователь кода для управления светодиодной матрицей 7×5 (К155ИД8), преобразователь кода для управления шкальным индикатором (К155ИД15) и др.

Как отмечалось в параграфе 3.2, цифровые устройства делятся на комбинационные и последовательностные. К комбинационным относятся такие цифровые устройства, выходные сигналы которых зависят только от текущего значения входных сигналов. Эти устройства, в отличие от последовательностных, не обладают памятью. После завершения переходных процессов в этих устройствах на их выходах устанавливаются выходные величины, на которые характер переходных процессов влияния не оказывает.

Любое сложное цифровое устройство может быть разделено на комбинационную часть, выполняющую логические операции, и элементы памяти. В принципе комбинационная часть может быть выполнена на логических элементах, однако это слишком сложно и дорого. Гораздо проще для этого использовать готовые комбинационные устройства. К основным комбинационным устройствам относят дешифраторы, шифраторы, мультиплексоры (распределители), демультиплексоры и сумматоры.

Дешифраторы

Дешифратор (decoder ) – это комбинационное устройство, позволяющее распознавать числа, представленные позиционным п-разрядным кодом. Если на входе дешифратора "-разрядный двоичный код, то на его выходе код "1 из Ν". В кодовой комбинации этого кода только одна позиция занята единицей, а все остальные – нулевые. Например, код "1 из Ν", содержащий 4 кодовые комбинации, будет представлен следующим образом:

Такой код называют унитарным, поэтому дешифратор является преобразователем позиционного двоичного кода в унитарный. Так как возможное количество чисел, закодированных n-разрядным двоичным кодом, равно количеству наборов из и аргументов (N = 2”), то дешифратор, имеющий n входов, должен иметь 2n выходов. Такой дешифратор называют полным. Если часть входных наборов не используется, то дешифратор называют неполным, и у него число выходов меньше 2n. Таким образом, в зависимости от входного двоичного кода на выходе дешифратора возбуждается только одна из выходных цепей, по номеру которой можно распознать входное число.

Дешифраторы применяют для расшифровки адресов ячеек запоминающих устройств, высвечивания букв и цифр на мониторах, индикаторах и других устройствах. Чаще всего они являются встроенными в БИС, как, например, в полупроводниковых запоминающих устройствах, однако они выпускаются и в виде ИС среднего уровня интеграции.

Проиллюстрируем реализацию дешифраторов на примере полного дешифратора трехразрядных чисел. Таблица истинности дешифратора представлена в табл. 3.5.

Таблица 3.5

x 3

x 2

x 1

y 0

y 1

y 2

y 3

y 4

y 5

y 6

y 7

Как видно, каждый выход x i равен единице только на одном наборе, поэтому работа дешифратора описывается восемью функциями – по числу выходов дешифратора, каждая из которых является конъюнкцией (логическим И) трех аргументов:

Схема трехразрядного полного дешифратора показана на рис. 3.12. Для реализации одной функции y i, нужен один трехвходовый конъюнктор. Так как на входах конъюнкторов присутствуют как прямые значения аргументов, так и инверсные, в схеме дешифратора необходимы три инвертора (см. рис. 3.12, а).

Рис. 3.12.

а – логическая схема; б – условное обозначение дешифратора с входами синхронизации и разрешения

Часто дешифраторы выполняют с управляемой синхронизацией, при которой дешифрация кода будет произведена во время подачи синхронизирующего импульса, поступившего на вход С, лишь при условии, что на вход EN подан разрешающий единичный сигнал (см. рис. 3.12, б). Для реализации такого условия необходимы конъюнкторы с четырьмя входами, на четвертый вход которых поступает сигнал разрешения. Этот сигнал формируется двухвходовым конъюнктором при совпадении сигналов С и EN.

Число контактов у стандартного корпуса несложной ИС ограничено (14, 16 или 24), поэтому дешифраторы, выпускаемые в виде ИС, имеют небольшую разрядность входного кода (три, реже четыре). Так, например, в 16-контактном корпусе может быть помещен лишь трехразрядный полный дешифратор. Если требуется создать дешифратор большей разрядности, используют каскадное соединение дешифраторов небольшой разрядности.

Пример 3.1. Пусть на основе трехразрядных дешифраторов необходимо создать пятиразрядный (рис. 3.13).

Рис. 3.13.

Решение. Пятиразрядный дешифратор должен иметь 25 = 32 выходов. Разделим пять разрядов на младшие x 2, x 1, x 0 и старшие x 4, х 3. Тогда младшие можно подать на входы четырех 3-разрядных дешифраторов второго каскада и сформировать 8 4 = 32 выхода. Используя входы разрешения ΕΝ, можно выбирать один из четырех дешифраторов второго каскада, на котором должен сформироваться единичный сигнал. Для этого старшие два разряда подадим на входы управляющего дешифратора первого каскада, а его выходы подключим к входам разрешения ΕΝ дешифраторов первого каскада.

Пусть, например, входной код равен 11011 = 2710. Так как старшие разряды – "11", то управляющий дешифратор разрешит работу 4-го дешифратора второго каскада. При этом на выходах первых трех дешифраторов будут нули, а на выходе "3" четвертого дешифратора, т.е. F 27 будет логическая единица.

Дешифраторы широко применяются в системах управления технологическими процессами. Многие исполнительные устройства, такие, как электродвигатель, исполнительный механизм на основе электромагнита, могут управляться всего двумя командами: "включить" и "выключить". При этом команде "включить" удобно сопоставить логическую "1", а команде "выключить" – логический "1". Для управления такими устройствами используют унитарные коды, в которых каждый разряд жестко связан с конкретным устройством. Количество управляемых устройств может составлять несколько десятков, и дешифратор должен иметь соответствующее число выходов.

На рис. 3.14 показана схема управления восемью исполнительными устройствами на основе дешифратора. Схема содержит восемь аналогичных цепей, обеспечивающих включение/отключение исполнительного устройства. Состояние исполнительного устройства фиксируется элементом памяти, в качестве которого чаще всего используется триггер (см. параграф 3.9). Верхний вход обеспечивает включение элемента, а нижний – выключение. Сигнал, определяющий включенное или выключенное состояние, поступает на соответствующие схемы И (верхние или нижние) всех элементов памяти, но воспринимается этот сигнал только тем элементом, который выбирается дешифратором. Для этого на схему управления вместе с сигналами ВКЛ/ВЫКЛ одновременно подается код, поступающий на дешифратор и определяющий номер исполнительного устройства. Сигнал с выхода элемента памяти усиливается и поступает в цепь включения исполнительного устройства. Здесь возможна установка оптронной гальванической развязки (см. параграф 2.10), электромагнитного реле, обеспечивающего подачу высокого включающего напряжения, например = 220 В, электромагнитного пускателя, подающего трехфазное напряжение на электродвигатель.

Рис. 3.14.

Шифраторы

Шифратор (coder) это комбинационное устройство, выполняющее функции, обратные дешифратору. При подаче сигнала на один из его входов (унитарный код) на выходе должен образоваться соответствующий двоичный код.

Если число входов шифратора равно 2n, то число выходов, очевидно, должно быть равным п, т.е. числу разрядов двоичного кода, которым можно закодировать 2” ситуаций.

Проиллюстрируем синтез схемы шифратора при п = 3. Таблица истинности имеет вид, приведенный в табл. 3.6.

Таблица 3.6

х

х

y 3

y 2

y 1

y 3

y 2

y 1

Работа шифратора описывается тремя функциями у 3, у 2, y 1, каждая из которых равна единице на четырех наборах (номер набора соответствует номеру входа). СовДНФ функций выхода равны:

Три функции реализуются тремя дизъюнкторами (рис. 3.15), на выходах которых формируется трехразрядный двоичный код.

Рис. 3.15.

При этом аргумент x 0 не входит ни в одну из логических функций и шина x 0 остается незадействованной. Действительно, входному сигналу х0 должен соответствовать код "000", который все равно будет на выходе шифратора, если все остальные аргументы равны нулю.

Кроме обычных шифраторов существуют также приоритетные шифраторы. Такие шифраторы выполняют более сложную операцию. При работе ЭВМ и других устройств часто решается задача определения приоритетного претендента на обслуживание. Несколько конкурентов выставляют свои запросы на обслуживание, которые не могут быть удовлетворены одновременно. Нужно выбрать, кому предоставляется право первоочередного обслуживания. Простейший вариант задачи – присвоение каждому источнику запросов фиксированного приоритета. Например, группа из восьми запросов R 7, ..., R 0 (R – от англ. request – запрос) формируется гак, что высший приоритет имеет источник номер семь, а далее приоритет уменьшается от номера к номеру. Самый младший приоритет у пулевого источника – он будет обслуживаться только при отсутствии всех других запросов. Если имеются одновременно несколько запросов, обслуживается запрос с наибольшим номером.

Приоритетный шифратор вырабатывает на выходе двоичный номер старшего запроса. При наличии всего одного возбужденного входа приоритетный шифратор работает так же, как и двоичный. Поэтому в сериях ИС двоичный шифратор как самостоятельный элемент может отсутствовать. Режим его работы – частный случай работы приоритетного шифратора.

Понравилась статья? Поделиться с друзьями: